CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 转换 VHDL

搜索资源列表

  1. YUV2RGB

    0下载:
  2. 该代码可将YUV图像数据转换为VGA显示器能显示的RGB数据,R,G,B的位宽均为4,转换速度快。-The code can be converted to a YUV image data of RGB VGA monitor can display the data, R, G, B of the bit width of 4, the conversion speed.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:842
    • 提供者:陈雅
  1. ADCTR

    1下载:
  2. 基于VHDL实现AD7891转换时序的控制器-perfect progranm by vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:162894
    • 提供者:吴能峰
  1. jiaotongdeng

    0下载:
  2. 用vhdl语言设计了一个交通灯实验 包含了十字路口交通灯的转换-Vhdl language designed by a traffic light intersection experiment includes the conversion of traffic lights
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:330230
    • 提供者:lili
  1. Verilog-to-VHDL-translator

    0下载:
  2. 描述了一个Verilog到VHDL翻译器的设计与实现。首先将Verilog模块转换为中间格式,然后按照预定义的翻译规则,生成功能等价的VHDL设计实体。该翻译器目前只支持Verilog的一个子集。通过Verilog-to-VHDL, 使得在Verilog.VHDL混合设计环境中重用Verilog设计成为可能。-Describes a Verilog to VHDL translator design and implementation. Verilog module into first
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:194660
    • 提供者:liuyi
  1. vhdl

    0下载:
  2. 串并转换和PN码产生的VHDL程序 希望对刚学习VHDL语言的同学有帮助!-And the PN code string and convert VHDL program generated just want students to learn VHDL, help!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:989
    • 提供者:ls112853
  1. VHDL-Waveform-source

    0下载:
  2. I/V转换波形输出,可生成三角波、锯齿波、方波、和正弦波等常用波形。-I/V converter output waveforms can be generated triangle wave, sawtooth wave, square wave, and other commonly used sine wave.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1627
    • 提供者:obu
  1. 8421BCD

    0下载:
  2. 8421bcd 编码 把十进制数字转换为8421bcd型二进制序列,例如11=00010001-8421bcd coded decimal numbers into 8421bcd the type of binary sequences, such as 11 = 00010001
  3. 所属分类:Project Design

    • 发布日期:2017-04-07
    • 文件大小:11602
    • 提供者:zhaohong
  1. vhdl-7Nixie-tube

    0下载:
  2. vhdl 七段数码管代码 可以把代码转换成可以在七段数码管上显示的代码-Seven-Segment LED vhdl code into the code can be displayed in seven sections of the code on the digital
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:3723
    • 提供者:zhaohong
  1. AD9220

    0下载:
  2. 用VHDL语言编写的AD9220程序,A/D采集转换的源程序-AD9220 with a program written in VHDL, A/D conversion of the source collection
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:10803
    • 提供者:李刚刚
  1. AD7888-sampling-with-VHDL

    0下载:
  2. 用VHDL语言编写的AD7888模数转换芯片的采样程序- AD7888 sampling with VHDL program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:68770
    • 提供者:孟垂哉
  1. AD0809-VHDL

    0下载:
  2. ADC0809模数转换器 VHDL 代码,用数码管显示值-ADC0809 ADC VHDL code, with digital display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:980
    • 提供者:zcp2403
  1. 11071222426689

    0下载:
  2. 用vhdl实现1:8串并转换,希望对大家有用。-the vhdl chuan bing zhuan huan
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:27047
    • 提供者:chenshi
  1. hc595

    0下载:
  2. HC595并串转换程序,Verilog语言编写,经过硬件平台测试-HC595 and string conversion process, Verilog language, after testing the hardware platform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1447
    • 提供者:郭程
  1. VHDL-routines

    0下载:
  2. 滤波器设计 模数转换 多数表决器 任意整数模的VHDL例程-Filter design module conversion BiaoJueQi any of the most analog VHDL routines
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:187273
    • 提供者:geegee
  1. module-Temperature

    0下载:
  2. DS18B20引脚功能 GND地,DQ数据总线,VDD电源电压 18B20共有三种形式的存储器资源,它们分别是: ROM 只读存储器,用于存放DS18B20ID编码,其前八位是单线系列编码,后面48位是芯片唯一的序列号,最后8位是以上56位的CRC码。DS18B20共64位ROM RAM 数据暂存器,数据掉电后丢失,共9个字节,每个字节8位,第1、2个字节是温度转换后的数据值信息,EEPROM 非易失性记忆体,用于存放长期需要保存的数据,上下限温度报警值和校验数据
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:9244
    • 提供者:袁亚楠
  1. vhdl--eda

    0下载:
  2. m 序列发生器 计数器 七段数码管显示 bcd 十六进制转换-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2142
    • 提供者:kuwait
  1. vhdl

    1下载:
  2. 实现8421BCD码转换为5421BCD码求和运算-Achieve 8421BCD code into 5421BCD code summations
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:781
    • 提供者:cdd
  1. VHDL-node

    0下载:
  2. VHDL的一些实验代码,其中有4位可逆计数器,4位可逆二进制代码-格雷码转换器设计、序列检测器的设计、基于ROM的正弦波发生器的设计、数字密码锁的设计与实现-Some experiments of VHDL code, which has four reversible counters, four reversible binary code- Gray code converter design, sequence detection Design, ROM-based sine wav
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:48941
    • 提供者:张联合
  1. Verilog-Niosii-TLC1549

    0下载:
  2. niosii的一个完整的工程 Q2 软件是9.1版本,里面做了一个TLC1549的AD转换串转并的模块-niosii project with a TLC1549 module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:10961697
    • 提供者:fu
  1. VHDL-Keyboard

    0下载:
  2. 设计制作一个检测4*4矩阵键盘的按键编码的实验,把实际按键的键值的八位编码先转换成从0000—1111的编码,再译成数码管能识别的八位编码,在数码管动态显示时,4*4矩阵键盘的第一行对应00—03,第二行对应04—07,第三行08—11,第四行对应12—15。-Design a 4* 4 matrix keyboard key coding experiments to detect the key the actual key octet coded first convert from 00
  3. 所属分类:MiddleWare

    • 发布日期:2017-03-26
    • 文件大小:15746
    • 提供者:zj
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 24 »
搜珍网 www.dssz.com